Retro video games delivered to your door every month!
Click above to get retro games delivered to your door ever month!
X-Hacker.org- Force 4.0 Reference - /* multi-line comment start indicator http://www.X-Hacker.org [<<Previous Entry] [^^Up^^] [Next Entry>>] [Menu] [About The Guide]
 /*                  Multi-line comment start indicator
------------------------------------------------------------------------------
 Syntax
   /*
   [<text>]
   */

 Arguments
   <text> is an arbitrary sequence of text, generally used as comments.

 Description
   The /* operator initiates a multiline comment. Multiline comments can be
   nested, but the /* and */ delimiters must be symmetric.

 Example
   #define EXAMPLE_OPERATOR
   #include example.hdr

   proc Test_950
   vardef
      /*dbl*/ uint nVar
   enddef
   /*
       This is a multi-line comment
   */
   nVar := /* yes, this is ok here */ 5
   /*
   ? "This will not print - "             /* nested comments are OK */
   ? "unless you remove the '/*' and '*/' two lines above and one line below"
   */
   ? nVar
   endproc

   proc main
   Test_950()
   endproc

See Also: */

Online resources provided by: http://www.X-Hacker.org --- NG 2 HTML conversion by Dave Pearson